Differences between D-Latch and D flip-flop?

Answers were Sorted based on User's Feedback



Differences between D-Latch and D flip-flop?..

Answer / t.murugan

D-latch is level Triggering and D Flip Flop is Edge
triggering.

Is This Answer Correct ?    308 Yes 20 No

Differences between D-Latch and D flip-flop?..

Answer / abc

Answer 2 is wrong. latch can have a clock. Answer 1 is correct.
elaborating on it: difference is that for a latch the output
can follow input(like a buffer) if latch is in "pass" state,
else if the clock input is such that the its in "latch"
state then output is preserved. Whereas, flip-flop output
only changes at the clock edge(rising or falling depending
upon type of flop)

Is This Answer Correct ?    120 Yes 24 No

Differences between D-Latch and D flip-flop?..

Answer / sarita bhan

Answer 3 is right. One more difference is that fllipflops
take twice the number of gates as latches
2) so automatically delay is more for flipflops
3)power consumption is also more.

Is This Answer Correct ?    78 Yes 17 No

Differences between D-Latch and D flip-flop?..

Answer / sada

latch is level sensitive but not flip flop

Is This Answer Correct ?    68 Yes 11 No

Differences between D-Latch and D flip-flop?..

Answer / chandrasheka kakanur

Latches are Level sensitive and the input values are
assigned only at the levels and latches does not require
less power consumtion than flip flops. Flip flops are edge
sensitive, as per assignment of clock the values are
assigned at a particular edge.

Is This Answer Correct ?    55 Yes 14 No

Differences between D-Latch and D flip-flop?..

Answer / naeem khan

the difference between latche and flip flop is that , that
latchs used the level clock trigger while the flip flop
used the edge trigger clock.so this the is main difference
between the latchs and flip flop.

Is This Answer Correct ?    44 Yes 14 No

Differences between D-Latch and D flip-flop?..

Answer / k.n.n.sarma

LATCH-LEVEL SENSITIVE
IT CONSISTS OF BOTH ENABLE AND CLOCK



FLIP FLOP-EDGE TRIGGERED
IT CONSISTS OF ONLY CLOCK AND NO ENABLE IS PRESENT FOR FLIP
FLOP.

Is This Answer Correct ?    38 Yes 19 No

Differences between D-Latch and D flip-flop?..

Answer / harsh agrawal

In electronics, a latch is a kind of bistable multivibrator,
an electronic circuit which has two stable states and
thereby can store one bit of information. Today the word is
mainly used for simple transparent storage elements, while
slightly more advanced non-transparent (or clocked) devices
are described as flip-flops. Informally, as this distinction
is quite new, the two words are sometimes used interchangeably.

Is This Answer Correct ?    26 Yes 10 No

Differences between D-Latch and D flip-flop?..

Answer / alekhya

latches are used as temperory buffers where as fliflops
used as registers

Is This Answer Correct ?    14 Yes 0 No

Differences between D-Latch and D flip-flop?..

Answer / baba

FF is the edge sensitive. but latch is not like that

Is This Answer Correct ?    11 Yes 1 No

Post New Answer

More VLSI Interview Questions

How can you construct both PMOS and NMOS on a single substrate?

0 Answers   IBM, Intel,


Explain why & how a MOSFET works?

2 Answers   Infosys,


Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes with increasing transistor width.

0 Answers   Infosys,


What is Cross Talk?

4 Answers   Intel,


What are the different design constraints occur in the synthesis phase?

0 Answers  






Explain the difference between write through and write back cache.

2 Answers   Intel,


For a single computer processor computer system, what is the purpose of a processor cache and describe its operation?

3 Answers   Intel,


What is Noise Margin? Explain the procedure to determine Noise Margin?

4 Answers   Amkor, Cisco, Infosys, Intel,


What is the ideal input and output resistance of a current source?

0 Answers  


Working of a 2-stage OPAMP?

0 Answers   Intel, Tata Elxsi,


Let A & B be two inputs of the NAND gate. Say signal A arrives at the NAND gate later than signal B. To optimize delay, of the two series NMOS inputs A & B, which one would you place near the output?

0 Answers   Infosys,


What are the Advantages and disadvantages of Mealy and Moore?

0 Answers   Intel,


Categories