Differences between D-Latch and D flip-flop?

Answer Posted / baba

FF is the edge sensitive. but latch is not like that

Is This Answer Correct ?    11 Yes 1 No



Post New Answer       View All Answers


Please Help Members By Posting Answers For Below Questions

Explain the working of 4-bit Up/down Counter?

4015


Give various factors on which threshold voltage depends.

771


what is the use of defpararm?

733


What are the different classification of the timing control?

598


Explain the operation considering a two processor computer system with a cache for each processor.

2361






What are the different design techniques required to create a layout for digital circuits?

617


Explain how MOSFET works?

2818


You have a driver that drives a long signal & connects to an input device. At the input device there is either overshoot, undershoot or signal threshold violations, what can be done to correct this problem?

2205


Explain CMOS Inverter transfer characteristics?

3462


Given a layout, draw its transistor level circuit. (I was given a 3 input AND gate and a 2 input Multiplexer. You can expect any simple 2 or 3 input gates)

739


Write a program to explain the comparator?

690


Draw the timing diagram for a SRAM Read. What happens if we delay the enabling of Clock signal?

688


What happens if we delay the enabling of Clock signal?

1816


In Verilog code what does “timescale 1 ns/ 1 ps” signifies?

708


What are the steps involved in designing an optimal pad ring?

734