What is a D-latch? Write the VHDL Code for it?
Answers were Sorted based on User's Feedback
Answer / rams
D latch is a device it simply transfers data from input to
output when the enable is activated.its used for the
forming of d flip flops.
| Is This Answer Correct ? | 17 Yes | 4 No |
Answer / sghsg
library ieee;
use ieee.std_logic_1164.all;
entity D_latch is
port (
clk : in std_logic;
d : in std_logic;
q : out std_logic
);
end D_latch;
architecture arch_D_latch of D_latch is
begin
process(d,clk)
begin
-- +ve level sensitive
if(clk = '1') then
q <= d;
else
q <= q;
end if;
end process;
end arch_D_latch;
| Is This Answer Correct ? | 0 Yes | 0 No |
Answer / bhushan
D-Latch is a level sensitive flip-flop.
output changes as long as clock is High(for +ve level
sensitive) or High(for -ve level sensitive)
library ieee;
use ieee.std_logic_1164.all;
entity D_latch is
port (
clk : in std_logic;
d : in std_logic;
q : out std_logic
);
end D_latch;
architecture arch_D_latch of D_latch is
begin
process(d,clk)
begin
-- +ve level sensitive
if(clk = '1') then
q <= d;
else
q <= q;
end if;
end process;
end arch_D_latch;
| Is This Answer Correct ? | 23 Yes | 24 No |
Mention what are the two types of procedural blocks in Verilog?
If not into production, how far did you follow the design and why did not you see it into production?
What happens when the gate oxide is very thin?
If the substrate doping concentration increase, or temperature increases, how will Vt change? it increase or decrease?
Design an 8 is to 3 encoder using 4 is to encoder?
Working of a 2-stage OPAMP?
Mention what are the different gates where Boolean logic are applicable?
Explain Cross section of an NMOS transistor?
Explain various adders and difference between them?
What's the price in 1K quantity?
What are the changes that are provided to meet design power targets?
Draw a transistor level two input NAND gate. Explain its sizing (a) considering Vth (b) for equal rise and fall times