What is a D-latch? Write the VHDL Code for it?
Answers were Sorted based on User's Feedback
Answer / rams
D latch is a device it simply transfers data from input to
output when the enable is activated.its used for the
forming of d flip flops.
| Is This Answer Correct ? | 17 Yes | 4 No |
Answer / sghsg
library ieee;
use ieee.std_logic_1164.all;
entity D_latch is
port (
clk : in std_logic;
d : in std_logic;
q : out std_logic
);
end D_latch;
architecture arch_D_latch of D_latch is
begin
process(d,clk)
begin
-- +ve level sensitive
if(clk = '1') then
q <= d;
else
q <= q;
end if;
end process;
end arch_D_latch;
| Is This Answer Correct ? | 0 Yes | 0 No |
Answer / bhushan
D-Latch is a level sensitive flip-flop.
output changes as long as clock is High(for +ve level
sensitive) or High(for -ve level sensitive)
library ieee;
use ieee.std_logic_1164.all;
entity D_latch is
port (
clk : in std_logic;
d : in std_logic;
q : out std_logic
);
end D_latch;
architecture arch_D_latch of D_latch is
begin
process(d,clk)
begin
-- +ve level sensitive
if(clk = '1') then
q <= d;
else
q <= q;
end if;
end process;
end arch_D_latch;
| Is This Answer Correct ? | 23 Yes | 24 No |
Describe the various effects of scaling?
Explain Cross section of an NMOS transistor?
Explain various adders and diff between them?
What is the difference between nmos and pmos technologies?
In Verilog code what does “timescale 1 ns/ 1 ps” signifies?
What products have you designed which have entered high volume production?
What is the difference between cmos and bipolar technologies?
What are the total number of lines written by you in C/C++? What compiler was used?
How does Resistance of the metal lines vary with increasing thickness and increasing length?
Insights of a 2 input NAND gate. Explain the working?
What is the mealy and moore machine's state diagram that can detect 3 consecutive heads of 3 coins ?
Give the expression for CMOS switching power dissipation?
2 Answers Cypress Semiconductor,