What is a D-latch? Write the VHDL Code for it?
Answers were Sorted based on User's Feedback
Answer / rams
D latch is a device it simply transfers data from input to
output when the enable is activated.its used for the
forming of d flip flops.
Is This Answer Correct ? | 17 Yes | 4 No |
Answer / sghsg
library ieee;
use ieee.std_logic_1164.all;
entity D_latch is
port (
clk : in std_logic;
d : in std_logic;
q : out std_logic
);
end D_latch;
architecture arch_D_latch of D_latch is
begin
process(d,clk)
begin
-- +ve level sensitive
if(clk = '1') then
q <= d;
else
q <= q;
end if;
end process;
end arch_D_latch;
Is This Answer Correct ? | 0 Yes | 0 No |
Answer / bhushan
D-Latch is a level sensitive flip-flop.
output changes as long as clock is High(for +ve level
sensitive) or High(for -ve level sensitive)
library ieee;
use ieee.std_logic_1164.all;
entity D_latch is
port (
clk : in std_logic;
d : in std_logic;
q : out std_logic
);
end D_latch;
architecture arch_D_latch of D_latch is
begin
process(d,clk)
begin
-- +ve level sensitive
if(clk = '1') then
q <= d;
else
q <= q;
end if;
end process;
end arch_D_latch;
Is This Answer Correct ? | 23 Yes | 24 No |
What are the ways to Optimize the Performance of a Difference Amplifier?
Describe a finite state machine that will detect three consecutive coin tosses (of one coin) that results in heads.
Differences between blocking and Non-blocking statements in Verilog?
How can you construct both PMOS and NMOS on a single substrate?
In what cases do you need to double clock a signal before presenting it to a synchronous state machine?
In vlsi chip 1000s of transistors are dropped, specifically categorized. Which method is used to achieve this & how it is done practically?
What is the difference between nmos and pmos technologies?
what is body effect?
If the substrate doping concentration increase, or temperature increases, how will Vt change? it increase or decrease?
Explain depletion region.
Differences between netlist of HSPICE and Spectre?
Explain the Charge Sharing problem while sampling data from a Bus?