What is a D-latch? Write the VHDL Code for it?

Answers were Sorted based on User's Feedback



What is a D-latch? Write the VHDL Code for it?..

Answer / rams

D latch is a device it simply transfers data from input to
output when the enable is activated.its used for the
forming of d flip flops.

Is This Answer Correct ?    17 Yes 4 No

What is a D-latch? Write the VHDL Code for it?..

Answer / sghsg

library ieee;
use ieee.std_logic_1164.all;

entity D_latch is
port (
clk : in std_logic;
d : in std_logic;
q : out std_logic
);
end D_latch;

architecture arch_D_latch of D_latch is
begin
process(d,clk)
begin
-- +ve level sensitive
if(clk = '1') then
q <= d;
else
q <= q;
end if;
end process;
end arch_D_latch;

Is This Answer Correct ?    0 Yes 0 No

What is a D-latch? Write the VHDL Code for it?..

Answer / bhushan

D-Latch is a level sensitive flip-flop.
output changes as long as clock is High(for +ve level
sensitive) or High(for -ve level sensitive)


library ieee;
use ieee.std_logic_1164.all;

entity D_latch is
port (
clk : in std_logic;
d : in std_logic;
q : out std_logic
);
end D_latch;

architecture arch_D_latch of D_latch is
begin
process(d,clk)
begin
-- +ve level sensitive
if(clk = '1') then
q <= d;
else
q <= q;
end if;
end process;

end arch_D_latch;

Is This Answer Correct ?    23 Yes 24 No

Post New Answer

More VLSI Interview Questions

Explain the various MOSFET Capacitances & their significance ?

1 Answers   Infosys,


For an AND-OR implementation of a two input Mux, how do you test for Stuck-At-0 and Stuck-At-1 faults at the internal nodes? (You can expect a circuit with some redundant logic)

0 Answers   Infosys,


what is charge sharing?

0 Answers   Intel,


How can you model a SRAM at RTL Level?

0 Answers   Infosys,


Factors affecting Power Consumption on a chip?

7 Answers   Intel,






Write a VLSI program that implements a toll booth controller?

0 Answers   Patni,


Process technology? What package was used and how did you model the package/system? What parasitic effects were considered?

0 Answers   Intel,


Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes considering Channel Length Modulation.

0 Answers   Infosys,


Are you familiar with the term snooping?

1 Answers   Intel,


What is the function of chain reordering?

0 Answers  


Draw the Cross Section of an Inverter? Clearly show all the connections between M1 and poly, M1 and diffusion layers etc?

0 Answers   Intel,


Explain the various Capacitances associated with a transistor and which one of them is the most prominent?

2 Answers   Intel,


Categories