Golgappa.net | Golgappa.org | BagIndia.net | BodyIndia.Com | CabIndia.net | CarsBikes.net | CarsBikes.org | CashIndia.net | ConsumerIndia.net | CookingIndia.net | DataIndia.net | DealIndia.net | EmailIndia.net | FirstTablet.com | FirstTourist.com | ForsaleIndia.net | IndiaBody.Com | IndiaCab.net | IndiaCash.net | IndiaModel.net | KidForum.net | OfficeIndia.net | PaysIndia.com | RestaurantIndia.net | RestaurantsIndia.net | SaleForum.net | SellForum.net | SoldIndia.com | StarIndia.net | TomatoCab.com | TomatoCabs.com | TownIndia.com
Interested to Buy Any Domain ? << Click Here >> for more details...

What is a D-latch? Write the VHDL Code for it?

Answer Posted / bhushan

D-Latch is a level sensitive flip-flop.
output changes as long as clock is High(for +ve level
sensitive) or High(for -ve level sensitive)


library ieee;
use ieee.std_logic_1164.all;

entity D_latch is
port (
clk : in std_logic;
d : in std_logic;
q : out std_logic
);
end D_latch;

architecture arch_D_latch of D_latch is
begin
process(d,clk)
begin
-- +ve level sensitive
if(clk = '1') then
q <= d;
else
q <= q;
end if;
end process;

end arch_D_latch;

Is This Answer Correct ?    23 Yes 24 No



Post New Answer       View All Answers


Please Help Members By Posting Answers For Below Questions

How can you model a SRAM at RTL Level?

5699


How about voltage source?

2221


How does Vbe and Ic change with temperature?

3480


Give various factors on which threshold voltage depends.

1249


For a single computer processor computer system, what is the purpose of a processor cache and describe its operation?

2361


What is the ideal input and output resistance of a current source?

2967


What happens if we use an Inverter instead of the Differential Sense Amplifier?

2955


Explain why present VLSI circuits use MOSFETs instead of BJTs?

1110


What are the steps involved in preventing the metastability?

1098


How does the size of PMOS Pull Up transistors (for bit & bit- lines) affect SRAM's performance?

1187


Approximately, what were the sizes of your transistors in the SRAM cell? How did you arrive at those sizes?

1104


Explain Basic Stuff related to Perl?

1006


What is the difference between synchronous and asynchronous reset?

1061


Explain the Working of a 2-stage OPAMP?

1153


What products have you designed which have entered high volume production?

2404