What is a D-latch? Write the VHDL Code for it?
Answer Posted / bhushan
D-Latch is a level sensitive flip-flop.
output changes as long as clock is High(for +ve level
sensitive) or High(for -ve level sensitive)
library ieee;
use ieee.std_logic_1164.all;
entity D_latch is
port (
clk : in std_logic;
d : in std_logic;
q : out std_logic
);
end D_latch;
architecture arch_D_latch of D_latch is
begin
process(d,clk)
begin
-- +ve level sensitive
if(clk = '1') then
q <= d;
else
q <= q;
end if;
end process;
end arch_D_latch;
| Is This Answer Correct ? | 23 Yes | 24 No |
Post New Answer View All Answers
How can you model a SRAM at RTL Level?
How about voltage source?
How does Vbe and Ic change with temperature?
Give various factors on which threshold voltage depends.
For a single computer processor computer system, what is the purpose of a processor cache and describe its operation?
What is the ideal input and output resistance of a current source?
What happens if we use an Inverter instead of the Differential Sense Amplifier?
Explain why present VLSI circuits use MOSFETs instead of BJTs?
What are the steps involved in preventing the metastability?
How does the size of PMOS Pull Up transistors (for bit & bit- lines) affect SRAM's performance?
Approximately, what were the sizes of your transistors in the SRAM cell? How did you arrive at those sizes?
Explain Basic Stuff related to Perl?
What is the difference between synchronous and asynchronous reset?
Explain the Working of a 2-stage OPAMP?
What products have you designed which have entered high volume production?