Implement D flip-flop with a couple of latches? Write a VHDL
Code for a D flip-flop?
Answer Posted / balaji
library ieee;
use ieee.std_logic_1164.all;
entity d_ff is
port(d,clk:in std_logic;
q,q'bar:out std_logic);
end d_ff;
architecture a_d_ff of d_ff is
begin
process(clk)
begin
if rising_edge(clk) then
q<=d;
q'bar<=not d;
end if;
end process;
end a_d_ff;
| Is This Answer Correct ? | 32 Yes | 13 No |
Post New Answer View All Answers
What is look up table in vlsi?
For a NMOS transistor acting as a pass transistor, say the gate is connected to VDD, give the output for a square pulse input going from 0 to VDD
What is Latch Up? Explain Latch Up with cross section of a CMOS Inverter. How do you avoid Latch Up?
Explain what is Verilog?
what is the difference between the TTL chips and CMOS chips?
Implement a 2 I/P and gate using Tran gates?
Explain the three regions of operation of a mosfet.
Write a program to explain the comparator?
Describe the various effects of scaling?
Explain the working of Insights of a pass gate ?
What work have you done on full chip Clock and Power distribution? What process technology and budgets were used?
Explain the Charge Sharing problem while sampling data from a Bus?
How does the size of PMOS Pull Up transistors (for bit & bit- lines) affect SRAM's performance?
What happens if we delay the enabling of Clock signal?
Give the cross-sectional diagram of the cmos.