Tell us about yourself.

Answers were Sorted based on User's Feedback



Tell us about yourself. ..

Answer / rajanikanth

Hi
I am Rajanikanth,elder son of Mrs & Mr Mangamma Krishna. I have two siblings,one brother who has completed his post graduation and one sister who has completed her graduation. We have a joint family and I came from middle class family.

Coming to my studies I have completed my MCA in 2011,from sri indu college of engineering and technology,hyderabad.

Coming to my strengths, my self confidence and my patience.

Is This Answer Correct ?    0 Yes 0 No

Tell us about yourself. ..

Answer / m.bhavani

Hi myself bhavani, completed B-pharmacy in 2011 from swami
vivekananda college of pharmacy,born and bought up at
hyderabad. I have good commmunication skills and computer
knowledge too. My other sills are Type lower hand[40 wpm].
My strengths are punctuaity and discipline.

Is This Answer Correct ?    0 Yes 0 No

Tell us about yourself. ..

Answer / bhsushan.padala

hi good mornin/evening everyone my name is naga bhushan
padala,
i have completed my computer science engineering in 2011 from
st.mary's eollege of engineering.to tell you about my family
my father's name is satyanarayan is a bank employee my
mother's name is kumari she is a homemaker this is about my
family. my hobbies are playing chess,pool and freaking out
with friends thatsit.

Is This Answer Correct ?    0 Yes 0 No

Tell us about yourself. ..

Answer / karuppasamy

let me introduce my self,
my name is karuppasamy kaliappan,basically i am from theni, but now i m staying in chennai,i have complete my B.E in ECE from sasurie college of engg,i have done my 12th from Z.K.M.higher secondary school.
about my family there r four members in my family.one younger brother and my parents.my father is working as telephone line man in BSNL,my motheris an home maker.my younger study B.COM first year,
my hobbies playing cricket,gym,listing songs,watching movie.

Is This Answer Correct ?    0 Yes 0 No

Tell us about yourself. ..

Answer / preeti khatker

Hi,
I am Preeti,persuing B.Tech in Biotechnology in 6th semester from Ambala college of engineering and Applied research.My hobby is listening soft music and playing Badminton.I want to become a famous Biotechnologist.

Is This Answer Correct ?    0 Yes 0 No

Tell us about yourself. ..

Answer / ravi yadav

I am Ravi, I am from mubai and write now i am staiying in gurgaon sec 32,my qualification i have completed Bca from ptu
and my family mother concern is there are four member in my family including me my father my mother and my elder brother
my father is abusinessmen nad my mother is homemaker
nad my elder brother tl in nuteric info system nad i have one year experience in spatta tech.]and i am very telented and functual.

Is This Answer Correct ?    0 Yes 0 No

Tell us about yourself. ..

Answer / vijay

Hi

Iam Ilayathalapathy From Saligramam. I was born and Brought up in Chennai.I have done my B.com in Gurunanak college Velachery.now im working as Customer service Executive in HCL technologies for the past 2yrs , my role is to Interact with client through emails. my hobbies are playing cricket , outting with friends. my strength is i wil be easily adapative to the environment and to the people.i wil be sincere in my duties and will achieve the taggets in the organisation where im placed. :):):) Thank you.

Is This Answer Correct ?    0 Yes 0 No

Tell us about yourself. ..

Answer / chandan

Hi!
I'm Chandan Kumar Verma, coming from Ara Bihar. Born on 2nd
march 1992. I have completed 10th from Hit Narayan Kshtriya
High School, Ara with 72.4% marks in 2007 & 12th from H. D.
Jain College, Ara with 73.8% marks in 2009 under Bihar
School Examination Bord Patna.Now i'm pursuing B. Tech from
Dr.B. C. Roy Engineering College, Durgapur under West Bengal
University of Technology. I'm ECE 4th year student. My hobby
is practicing Karate. I'm Brown-I belter under Gi Toku Kai
Karate Do - India, & Bronze medalist of State level Fight
championship 2013.

Is This Answer Correct ?    0 Yes 0 No

Tell us about yourself. ..

Answer / md maksud alam

hi i am Maksud.i have completed my B.E from ALL SAINTS' COOLEGE OF TECHNOLOGY BHOPAL.and then entered in telecome field.i like to face challenges
nd my achived goal is also becauze of my this type of
attitude
my hobbies are cooking and playing chess.
that's final

Is This Answer Correct ?    0 Yes 2 No

Tell us about yourself. ..

Answer / anbu

Hi am anbu. I have done my B.com in c.kandaswamy Naidu
college in anna nagar. I have done tally 7.2 in csc and Dca
in IIst.
well coming to my experienced . i have experienced as a
purchase & stores executive in M.v for more than two years.
My role is creating purchase order, making Grn, dealing with
vendor, coordinating with vendors, negotation with
suppliers, preparing comparsion statement, consumpiton
report. coordinating with all the other branches regarding
material isuues, sending bils to accounts dept for the payment.
Befor that am working in Fab india overseas pvt ltd as store
executive . My role attending customer queries, cashering,
handling petty cash, merchandising. i hobby playing cricket
and lisenting songs.

and well about my family. my father v. sathiya murthy
working as medical supplier man in Goutham pharma agency and
my mother hema as a house wife and about my brother sudhakar
working in system admin in parukathi infotech.

Is This Answer Correct ?    0 Yes 2 No

Post New Answer

More OOPS Interview Questions

Will I be able to get a picture in D drive to the c++ program? If so, help me out?

0 Answers  


Write on signed and unsigned integers and give three (3) examples each

1 Answers  


Difference between realloc() and free?

9 Answers   HP,


why c++ is called OOPS? waht is inherutance? what is compiler?

5 Answers  


What is the importance of oop?

0 Answers  






What is the difference between an object and a class?

3 Answers  


We have a scale and 7 balls. 1 ball is heavier than all the rest. How to determine the heaviest ball with only 3 possible weighing attempts?

8 Answers   IBM, Sage, Vertex,


What is class encapsulation?

0 Answers  


What is and I oop mean?

0 Answers  


write a c++ code of diagonal matrix.

2 Answers  


What is polymorphism ? Explain with examples

8 Answers   Ness Technologies,


What polymorphism means?

0 Answers  


Categories