Company Name Starts with ...
#  A  B  C  D  E   F  G  H  I  J   K  L  M  N  O   P  Q  R  S  T   U  V  W  X  Y  Z

AFMC General Science Interview Questions
Questions Answers Views Company eMail

Rust is 1 A mixture of Fe2O3 and Fe(OH)3 2 A mixture of FeO & Fe(OH)2 3 Fe2O3 only 4 A mixture of Fe2O3.3H2O and Feo

2 17015

Post New AFMC General Science Interview Questions


AFMC General Science Interview Questions


Un-Answered Questions

Explain the term post-section?

1


How do I remove ctrl-click from a hyperlink?

328


There are two chlorination tonners both are connected to two pressure swithes and the pressure switch is connected to SOV which operates the valve to changeover the tonner selection i.e if pressure one tonner goes low the other tonner should come in line if it is inautomatic selection design a circuit using relays ?

1456


How do you change a table from horizontal to vertical in word?

301


how does this job compare with others you have aplied for?

1239






How to delete a file in python?

449


How do you check if windows 10 is corrupted?

441


What are some examples of anti-patterns?

468


Mention some ways for improving performance of a graph?

609


What are undeclared and undefined variables?

541


can Informatica be used as a cleansing tool? If yes, give examples of transformations that can implement a data cleansing routine.

1525


Write about organising and staffing in management.

545


Is the theorem applicable to ac sources?

612


What are the databases that are available to be used with the web logic j2ee connector architecture?

505


This program is in verilog and need help to get it working correctly. This is the code i have so far. Please help. Simple testbench would be great. Thanks\ 'define vend_a_drink {D,dispense,collect} = {IDLE,2'b11}; module drink_machine(nickel_in, dime_in, quarter_in, collect, nickel_out, dime_out, dispense, reset, clk) ; parameter IDLE=0,FIVE=1,TEN=2,TWENTY_FIVE=3, FIFTEEN=4,THIRTY=5,TWENTY=6,OWE_DIME=7; input nickel_in, dime_in, quarter_in, reset, clk; output collect, nickel_out, dime_out, dispense; reg collect, nickel_out, dime_out, dispense; reg [2:0] D, Q; /* state */ // synopsys state_vector Q always @ ( nickel_in or dime_in or quarter_in or reset ) begin nickel_out = 0; dime_out = 0; dispense = 0; collect = 0; if ( reset ) D = IDLE; else begin D = Q; case ( Q ) IDLE: if (nickel_in) D = FIVE; else if (dime_in) D = TEN; else if (quarter_in) D = TWENTY_FIVE; FIVE: if(nickel_in) D = TEN; else if (dime_in) D = FIFTEEN; else if (quarter_in) D = THIRTY; TEN: if (nickel_in) D = FIFTEEN; else if (dime_in) D = TWENTY; else if (quarter_in) 'vend_a_drink; TWENTY_FIVE: if( nickel_in) D = THIRTY; else if (dime_in) 'vend_a_drink; else if (quarter_in) begin 'vend_a_drink; nickel_out = 1; dime_out = 1; end FIFTEEN: if (nickel_in) D = TWENTY; else if (dime_in) D = TWENTY_FIVE; else if (quarter_in) begin 'vend_a_drink; nickel_out = 1; end THIRTY: if (nickel_in) 'vend_a_drink; else if (dime_in) begin 'vend_a_drink; nickel_out = 1; end else if (quarter_in) begin 'vend_a_drink; dime_out = 1; D = OWE_DIME; end TWENTY: if (nickel_in) D = TWENTY_FIVE; else if (dime_in) D = THIRTY; else if (quarter_in) begin 'vend_a_drink; dime_out = 1; end OWE_DIME: begin dime_out = 1; D = IDLE; end endcase end end always @ (posedge clk ) begin Q = D; end endmodule

2902